Welcome![Sign In][Sign Up]
Location:
Search - led vhdl

Search list

[VHDL-FPGA-VerilogLED7s

Description: 用VHDL语言编写的 LED七段显示译码器-Written in VHDL language with the LED seven-segment display decoder
Platform: | Size: 1024 | Author: hualiayue | Hits:

[VHDL-FPGA-VerilogPwmleddim

Description: This PWM Coltrolled Led Light Processing Alogothim we are sometimes nead a Led bight that is controlled with PWM and I Use this Algoritm to make various Led Light source -This is PWM Coltrolled Led Light Processing Alogothim we are sometimes nead a Led bight that is controlled with PWM and I Use this Algoritm to make various Led Light source
Platform: | Size: 3072 | Author: jeong | Hits:

[VHDL-FPGA-Veriloglift

Description: 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 -Design a controller, eight-story buildings, escalators, moving elevator with eight LED display process, and a digital display where the floor lift the current location of the entrance at each elevator button switch with a request, the request button is pressed the corresponding floor LED light.
Platform: | Size: 76800 | Author: zhaorongjian | Hits:

[Embeded-SCM DevelopDE0_PWM_LED

Description: 利用pwm做出的一个控制led亮度的小程序!-Pwm to make use of a control led brightness applet!
Platform: | Size: 15360 | Author: tony | Hits:

[VHDL-FPGA-Verilogpwm

Description: FPGA控制的 PWM LED程序 较为复杂 有助于新手进阶参考-FPGA PWM LED control is more complicated procedures will help novices Advanced Reference
Platform: | Size: 432128 | Author: cood | Hits:

[VHDL-FPGA-VerilogLED.dot.matrix.display

Description: LED点阵显示器 利用LP-2900实验仪Altera模块上的PLD器件,编写VHDL程序,设计一个扫描控制电路,在H区的8*8型LED点阵上实现点阵的逐点显示,扫描显示的顺序是光点从左上角像素点开始,终止于右下角像素点,然后周而复始地重复运行下去。扫描全部区域大概所需时间为13s。-LED dot matrix display
Platform: | Size: 80896 | Author: duopk | Hits:

[VHDL-FPGA-Verilog3128(vhdl)

Description: 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口通讯实验 t16 串口发送拨码开关控制的非十六进制代码 t17串口接收到的数据显示在发光二极管 t18音乐发生器 t19 液晶1602显示程序 -please see chinese!
Platform: | Size: 4148224 | Author: 熊文吉 | Hits:

[SCMcube-v04-code

Description: 3x3x3 Assembly led cube code
Platform: | Size: 18432 | Author: Selcuk Ural | Hits:

[VHDL-FPGA-Verilogpwm_out

Description: PWM输出控制LED显示,由两个按键控制FPGA输出脉冲的占空比,脉冲去直接驱动发光二极管LED,随占空比不同,LED的亮度也不同-PWM output control LED display, two buttons control the FPGA output pulse duty cycle, pulse to directly drive LEDs LED, with different duty cycle, LED brightness is different
Platform: | Size: 39936 | Author: 王砂 | Hits:

[Software Engineeringvhdl-7Nixie-tube

Description: vhdl 七段数码管代码 可以把代码转换成可以在七段数码管上显示的代码-Seven-Segment LED vhdl code into the code can be displayed in seven sections of the code on the digital
Platform: | Size: 4096 | Author: zhaohong | Hits:

[VHDL-FPGA-VerilogVHDL-3BCD

Description: 3位BCD码的计数显示电路。BCD码计数电路从0计到9然后返回到0从新计数。3位BCD码计数器可以实现从0到999的十进制计数。要将计数过程用七段显示LED数码管显示出来,这里采用动态分时总线切换电路对数码管进行扫描,对数码管依次分时选中进行输出计数的个、十、百位的数据。-3 BCD code count display circuit. BCD code counting circuit count from 0 to 9 and then back to 0 from the new count. 3 BCD code counter can be achieved from 0 to 999 decimal count. Counting process with seven segment displays to LED digital tube displays, where dynamic time-sharing digital bus switch circuit to scan, followed by time-sharing of digital output selected for a count of ten, hundred bits of data.
Platform: | Size: 56320 | Author: will li | Hits:

[VHDL-FPGA-VerilogLED

Description: led流水灯是FPGA初学者必备的基础实验,入门级得开发程序,但是很重要,程序简单可是可以用来熟悉系统的环境。-led vhdl fpga
Platform: | Size: 321536 | Author: | Hits:

[VHDL-FPGA-VerilogLED--VHDL

Description: LED控制VHDL程序与仿真,我感觉是挺有用的~-LED control procedures and VHDL simulation
Platform: | Size: 5120 | Author: | Hits:

[VHDL-FPGA-Verilogtiming

Description: Video RGB timing搭配FPGA系統及三色LED控制,可以實現色序法(Field sequential display).-Video RGB timing with FPGA and three-color LED control system can achieve color sequential (Field sequential display).
Platform: | Size: 5120 | Author: pin | Hits:

[assembly languageLED-VHDL

Description: 本程序为LED点阵显示的VHDL程序代码,代码注有解释,适合初学者使用-This program is LED dot matrix display VHDL code, the code marked with explanations for beginners
Platform: | Size: 13312 | Author: xuliyue | Hits:

[VHDL-FPGA-VerilogLED

Description: VHDL超声波测距代码,大家看看吧,测试过能用,实体名我改成了LED-VHDL ultrasonic ranging code, you have a look, tested can be used, the entity name I changed it to LED
Platform: | Size: 1024 | Author: 杨勇 | Hits:

[VHDL-FPGA-VerilogLED-vhdl

Description: 用vhdl实现的LED滚动屏 有源码 仿真结果 是个完整的报告。-Using vhdl implementation of LED scrolling screen there is a complete source simulation results report
Platform: | Size: 191488 | Author: midoxinxin | Hits:

[VHDL-FPGA-VerilogVHDL代码

Description: 实现简单的电子拔河比赛,即两按键模拟,计数器计数,比较器进行比较,最后通过LED灯进行直观显示(To achieve a simple tug of war competition, that is, two button analog, counter count, comparator comparison, and finally through the LED lamp for visual display)
Platform: | Size: 1024 | Author: 很看好 | Hits:

[OtherLED board_verilog

Description: 用于七位数码显示LED的对应VHDL代码实现,实现部分主要针对各种功能下的LED状态和点灯要求;(This is used to apply in led function,about the colour and the different functions;so you can use it by your destiniation.)
Platform: | Size: 19456 | Author: Elaine2006 | Hits:

[VHDL-FPGA-Verilogled

Description: 点亮led,通过vhdl代码来使fpga外设led闪烁发光(Light up LEDdsakldsjkdhjksadhkjsadskdalksd)
Platform: | Size: 19765248 | Author: 沐晨qc | Hits:
« 1 2 3 45 6 7 8 9 10 ... 28 »

CodeBus www.codebus.net